LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; USE IEEE.STD_LOGIC_SIGNED.ALL ; USE IEEE.STD_LOGIC_ARITH.ALL ; ENTITY Multiplieur IS GENERIC (Tmult : TIME ; NbBits : INTEGER ); PORT (entree1 : IN Std_Logic_Vector(NbBits-1 DOWNTO 0) ; entree2 : IN Std_Logic_Vector(NbBits-1 DOWNTO 0) ; sortie : OUT Std_Logic_Vector(NbBits-1 DOWNTO 0) ) ; END Multiplieur ; ARCHITECTURE comportementale OF Multiplieur IS BEGIN sortie <= CONV_STD_LOGIC_VECTOR( CONV_INTEGER(entree1) * CONV_INTEGER(entree2), NbBits) AFTER Tmult ; END comportementale ;